Highlights:

  • Numerous cutting-edge processors in the market utilize what is known as chiplet architecture.
  • Interconnects are frequently integrated using a structure known as an interposer.

Recently, an emerging chip interconnect startup, Eliyan Corp., secured USD 60 million in fresh funding from a consortium of notable investors.

The Series B round was spearheaded by Samsung Catalyst Fund and Tiger Global, with additional support from various institutional investors such as Intel Capital and SK hynix Inc., a leading global manufacturers of memory chip. This funding comes after Eliyan’s successful closure of a USD 40 million Series A round in 2022.

Ramin Farjadrad, Co-founder and Chief Executive Officer of Eliyan, said, “This investment reflects the confidence in our approach to integrating multichip architectures that address the critical challenges of high costs, low yield, power consumption, manufacturing complexity and size limitations.”

A so-called chiplet architecture serves as the foundation for many of the most sophisticated processors available today. Multiple semiconductor modules that are manufactured independently, sometimes at different fabs, and assembled after manufacturing make up a chiplet-based processor. The interconnect is a component that connects those modules to form a single product.

Eliyan provides an interconnect solution known as NuLink. Apart from facilitating the integration of chiplets into processors, the technology is also well-suited for connecting processors with memory modules. Specific chips, particularly artificial intelligence accelerators, incorporate a substantial amount of integrated memory, which they utilize to store data for various applications.

The company claims that NuLink offers up to four times the performance compared to competing technologies, all while costing half as much. This capability empowers chipmakers to craft faster and more power-efficient processors.

Eliyan suggests that one factor enhancing NuLink’s speed is a feature known as simultaneous bidirectional signaling. While many interconnects utilize small wires to connect chiplets, traditionally, each wire can only transmit or receive data at any given moment, but not both simultaneously. On the contrary, Eliyan’s simultaneous bidirectional signaling feature effectively doubles the performance of each wire by permitting it to transmit and receive data simultaneously.

In addition to enhancing chip speeds, Eliyan asserts that NuLink can streamline processor development.

Interconnects are commonly integrated using a structure known as an interposer. This component, typically a flat, rectangular piece of silicon, serves the dual purpose of transferring data between a processor’s chiplet and serving as the processor’s foundational layer. During manufacturing, the chiplets are positioned on top of the interposer.

Interposers facilitate high-speed data transfer, yet their design and production can pose challenges. Eliyan suggests that its NuLink technology offers a more straightforward alternative, decreasing the complexity involved in developing new processors. Additionally, the company asserts that its technology can optimize interposer-based chips by “getting the absolute maximum performance” out of the onboard interconnect.”

Following a significant technical achievement, Eliyan has secured its latest round of funding. The company recently announced the completion of a new version of NuLink, built on Taiwan Semiconductor Manufacturing Co.’s cutting-edge three-nanometer manufacturing process. Eliyan claims that the enhanced interconnect can handle up to 64 gigabits of data traffic per second per link.